FPGA verilog语言学习 加法器

2019-07-16 02:16发布

我是刚开始学FPGA,现在对其verilog语言还不是很懂。下面题目:设计一个十进制的加法计数器,功能包含复位信号,置位信号,每个时钟的正跳变沿计数器加一。计数0-99即可。请帮忙给个参考的程序。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。