verilog出错了,求指导

2019-07-16 02:18发布

  做了一个计数器结果数码管显示的实验,结果数码管全部显示一样的数字。
  仿真结果也不对,有1000个warning,都是Warning: Found clock high time violation at 2.8 ns on register "|dynamic_sweep_top|bcd_4bit:u1|cnt10:u1|dout[1]",查了半天也没查出来哪儿有问题。
  我会陆续把程序发上来的
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。