汽车尾灯程序问题

2019-07-16 02:21发布

Library ieee;
Use ieee.std_logic_1164.all;
Entity left_side is
Port(
     LF,ER,CLK,NT:in std_logic;
     L0,L1,L2:out std_logic);
end left_side;
Architecture b of left_side is
Begin
   Process(LF,CLK,ER,NT)
   variable LEDL:std_logic_vector(2 downto 0);
   Begin   
     if CLK'event and CLK='1' then
        if ER='1' then
           if LEDL="000" THEN
              LEDL:="111";
           else LEDL:="000";
           end if;
        elsif LF='0' then
              LEDL:="000";
           else
              if LEDL="000" then
                 LEDL:="001";
              else
                 LEDL:=LEDL(1 DOWNTO 0)&'0';      
        end if;
        end if;
    end if;
L2<=LEDL(2);
L1<=LEDL(1);
L0<=LEDL(0);
End process;
End b;
这是一个汽车尾灯控制中的左侧控制模块的代码,ER是故障,LF左转弯信号,NT是夜晚车行。请问怎么把NT的代码加进去,要求NT为1时,左侧的第3盏灯亮。怎么加仿真波形图都出不来,请高手教教。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。