求一个满足下列要求的电路图,顺便问一下,怎么看放大倍数?

2019-07-17 15:54发布

QQ图片20170918115913.jpg
1.设计一个放大模块采用集成运放的放大电路
2.放大倍数Au至少大于100,可以实现交流信号的正常放大,无失真。

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。