求大神,怎么样将74LS74的初始化Q的输出设置为低电平呀??

2019-07-17 16:09发布

how to SPECIFY INItiAL STATUS OF Q OUTPUT in 74LS74 我这样在谷歌搜不到有用的。我在proteus上都能双击设置Q的输出为低电平,那么在multisim里面该怎么设置呢?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
9条回答
JQ_Lin
2019-07-17 21:33
重要的是,在电路设计上,要保证上电后的初始状态为所要求的状态。
而仿真中的设置仅仅是为仿真提供方便而已,不要同实际电路对等。

一周热门 更多>