DSP

C6678通过SRIO与FPGA通信

2019-07-13 11:55发布

一、C6678成功初始化SRIO链路根据例程修改以下参数    1.inputRefClock_MHz        2.linkSpeed_GHz    3.srio_1x2x4x_path_control    4.DSP_SRIO_BASE_ID    5.bLogic_Port_EN若使用Direct I/O模式传输,可以不初始化PktDMA,只有message模式传输用到PktDMA,Direct I/O 没有用到PktDMA二、C6678与FPGA通信   C6678为主(Direct I/O 模式)1.C6678为主   KeyStone_SRIO_match_ACK_ID(根据FPGA侧的情况,决定需不需要)2.根据需要的操作初始化LSU三、C6678与FPGA通信   FPGA为主C6678无需做任何操作只需根据doorbell中断进行数据处理即可
读写操作时需要注意内存和cache的一致性操作