DSP

一个二维数组新的定义方式

2019-07-13 12:01发布

typedef volatile __attribute__((aligned(4))) uint8_t uac_transfer_t[USBD_CLASS_UAC_BUFFER_SIZE]; static uac_transfer_t m_hp_transfers[HP_QUEUE_SIZE];
#define HP_QUEUE_SIZE           4
#define USBD_CLASS_UAC_BUFFER_SIZE              192
一个4*192的二维数组