altium Designer中如何单层操作,屏蔽其它层

2019-07-14 12:35发布

以AD18版本为例, 点击PCB左下角的层选择最左侧标签,如下图: 弹出如下对话框: 把off切换到on即可,