专家
公告
财富商城
电子网
旗下网站
首页
问题库
专栏
标签库
话题
专家
NEW
门户
发布
提问题
发文章
一级:
全部
STM32/STM8
TI MCU
51单片机
Atmel MCU
FPGA
模拟电子
PIC单片机
NXP MCU
嵌入式Linux
RF/无线
DSP
电源技术
电路设计
Altium Designer
PADS
Allegro
matlab
电子元器件
LabVIEW
multisim
测试测量技术
proteus
C语言
传感器设计与应用
操作系统
工具软件
其他国产芯片
科技资讯
查看更多
二级:
全部
最新文章
热门文章
推荐文章
财富阅读
mtk 手机低电无法关机,电池容量一直显示1%
yadmek
发布于
模拟电子
0评论
14812次浏览
1796人收藏
2019-04-14 17:32
mtk 手机低电无法关机,电池容量一直显示1% [DESCRIPTION] 手机低电无法关机,电池容量一直显示1%,但是kernel log中可以看到soc = 0;vbat 已经小于3.4V。 [SOLUTION] 修改mt_battery_update_EM()函数: #ifdef CONF...
高三一模考试结束后 家长们你应该这么做!
u012985367
发布于
模拟电子
0评论
9488次浏览
950人收藏
2019-04-14 17:32
class="markdown_views prism-atom-one-light"> 进入高三后的学子们要注意一下,没有谁是不劳而获的,好的收获必定有大的付出。努力吧学生们,现在已经有很多家长意识到,到高三后高考不仅仅是学子们自己的事情了,今天学为教育就跟大...
Cmf文件与命名空间研究
a827415225
发布于
模拟电子
0评论
10907次浏览
985人收藏
2019-04-14 17:32
Cmf文件 .cmf 文件只记录「增删改」操作,不记录「查」操作。 比如你查个两点之间的距离,这个不会记录在 .cmf 文件里。 而你在距离面板更改距离的时候,.cmf 文件里会使用 *nodemodify 命令将其直接放到计算好的坐标上。...
双馈风机机电暂态模型内部变量的初始化
zhaiwenrui
发布于
模拟电子
0评论
17749次浏览
1624人收藏
2019-04-14 17:32
前一篇介绍了风速和桨距角的初始化,然而风机内部还有许多状态变量需要初始化,这里进行介绍。 首先介绍一些内部公式: 星号表共轭conj,则 %s0gc,s1gc %% input Qgen Pelec V 注意:Qgen不是注入节点的无功,应该...
【BZOJ4104】【Thusc2015】解密运算
sanyaw
发布于
模拟电子
0评论
11007次浏览
1778人收藏
2019-04-14 17:32
这些XJB算法的题竟然是小夏令营题想想就好可怕TAT(你萌不知道什么是XJB算法???) 讲道理这道题的标程虽然奇短无比但是想法还是蛮有启发意义的。。。我试着口胡一下原理QAQ 先考虑无元素重复的情况: 首先会得到N+1个序列的按字典序排列...
赋范线性空间上的有界线性泛函
dreamflysuccess
发布于
模拟电子
0评论
15490次浏览
1223人收藏
2019-04-14 17:32
【1】从有界线性算子到有界线性泛函 有界线性算子:X->Y 有界线性泛函:X->数域K 【2】定义式 数域K上的范数用绝对值表示(向量的长度,直观上是对的) 因此,定义式为|f(x)|≤c||x|| 同样,|f(x)|≤||f|| ||x|| ||f|...
[2010山东省第一届ACM大学生程序设计竞赛]——Balloons
lixinlixin
发布于
模拟电子
0评论
16029次浏览
1745人收藏
2019-04-14 17:31
Balloons题目描述 Both Saya and Kudo like balloons. One day, they heard that in the central park, there will be thousands of people fly balloons to pattern a big image. They were very interested about this event, and also c...
PowerDesigner
lvhoujun
发布于
模拟电子
0评论
12438次浏览
1300人收藏
2019-04-14 17:31
PowerDesigner是一款功能非常强大的建模工具软件,足以与Rose比肩,同样是当今最著名的建模软件之一。Rose是专攻UML对象模型的建模工具,之后才向数据库建模发展,而PowerDesigner则与其正好相反,它是以数据库建模起家,后来才发展为一款...
jvm内存模型以及jvm性能调优
不觉细雨
发布于
模拟电子
0评论
15317次浏览
985人收藏
2019-04-14 17:31
一、JVM内存模型及垃圾收集算法 1.根据Java虚拟机规范,JVM将内存划分为:New(年轻代)Old(年老代)永久代(Perm) 其中New和Old属于堆内存,堆内存会从JVM启动参数(-Xmx:3G)指定的内存中分配,Perm不属于堆内存,有虚拟机直接分配,...
新打开窗口属性 a href
cyftun
发布于
模拟电子
0评论
15307次浏览
908人收藏
2019-04-14 17:31
问题:如何限制下载页窗口的大小? 解决方法: 把内容页的标签 {$DownloadUrl} 替换成下面的代码,这里有个缺陷,假如你的下载有多个地址,可以复制几次,把下面的UrlID=1 的值分别替换成UrlID=2 、 UrlID=3 ..... 下载地址1 假如我的下载有...
按模块进行工作量测算的模型
孤独剑
发布于
模拟电子
0评论
17455次浏览
1485人收藏
2019-04-14 17:31
目前进行工作量测算的方法一般都采用按功能点进行测算,而这种方法都需前期进行大量的需求和设计工作,对一般的开发公司来说,这一块恰恰是软肋。要完成详细的功能点测算,对于设计和分析人员的要求也很高,不但需要软件架构方面丰富的知...
vhdl语言60进制计数器及其数码显示
lingkingdx
发布于
模拟电子
0评论
14873次浏览
972人收藏
2019-04-14 17:31
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ledcnt60 is port(clk,clr,ena:in std_logic; cnt10,cnt6:out std_logic_vector(3 downto 0); carry_out:out std_logic; ...
Unity3d游戏场景优化杂谈
zhujinqiang
发布于
模拟电子
0评论
9983次浏览
1725人收藏
2019-04-14 17:31
提前研究了一些资料,涉及到Lod技术 (Levels of Detail,多细节层次), 选择剔除(Culling),光照贴图(Lightmap)当然还有强大而又脆弱的Unity3d引擎. 所有资料都来自互联网和Unity自带的文档。 http://blog.sina.com.cn/s/blog_409...
PAT乙级B1063 计算谱半径 (20 分)
xq_2005146222
发布于
模拟电子
0评论
17503次浏览
1351人收藏
2019-04-14 17:31
在数学中,矩阵的谱半径是指其特征值的模集合的上确界。换言之,对于给定的 n 个复数空间的特征值 { a1+b1i,⋯,an+bni },它们的模为实部与虚部的平方和的开方,而谱半径就是最大模。 现在给定一些复数空...
PAT1063 计算谱半径
w_d_j
发布于
模拟电子
0评论
16859次浏览
1377人收藏
2019-04-14 17:31
在数学中,矩阵的谱半径是指其特征值的模集合的上确界。换言之,对于给定的 n 个复数空间的特征值 { a1 +b1 i,⋯,an +bn i },它们的模为实部与虚部的平方和的开方,而谱半径就是最大模。 现在给定...
首页
上一页
166
167
168
169
170
171
172
173
下一页
最后一页
发布经验,赚取财富值,与更多的电子工程师一起成长!
写文章
热门文章
热门标签
STM32
LabView
51单片机
TI
电路设计
电源
FPGA
Atmel
proteus
物联网
嵌入式
元器件
DSP
multisim
matlab
测量
NXP
Allegro
PADS
ARM
一周热门问题