F7 PWM仿真的问题

2019-07-20 13:34发布

TIM3_PWM_Init(20000-1,108-1);              //108M/108=1M,1M/20000=50kZ
试图产生一个T=20ms的PWM
端口用的是PB1
但是仿真的时候,LA里Unkown signal
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。