改变占空比,发现电机转速并没有变化

2019-07-20 14:59发布

TIM输出pwm波控制电机,改变占空比,电机转速不变,感觉程序没啥问题啊
void TIM8_PWM_Init(u32 arr,u32 psc)
{
       
        GPIO_InitTypeDef  GPIO_InitStructure;
        TIM_TimeBaseInitTypeDef   TIM_TimeBaseStructure;
        TIM_OCInitTypeDef    TIM_OCInitStructure;
        //使能时钟
        RCC_APB2PeriphClockCmd(RCC_APB2Periph_TIM8,ENABLE);          //TIM8时钟使能   
        RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOC, ENABLE);         //使能时钟       

       
        //引脚复用映射

        GPIO_PinAFConfig(GPIOC,GPIO_PinSource7,GPIO_AF_TIM8);

        //初始化引脚
        GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF;        //复用功能
        GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;        //速度100MHz
        GPIO_InitStructure.GPIO_OType = GPIO_OType_PP;      //推挽复用输出
        GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_UP;        //上拉
        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_7;           //GPIOC7
        GPIO_Init(GPIOC,&GPIO_InitStructure);              //初始化PC7

       
        //定时器初始化
        TIM_TimeBaseStructure.TIM_Prescaler=psc;  //定时器分频
        TIM_TimeBaseStructure.TIM_CounterMode=TIM_CounterMode_Up; //向上计数模式
        TIM_TimeBaseStructure.TIM_Period=arr;   //自动重装载值
        TIM_TimeBaseStructure.TIM_ClockDivision=TIM_CKD_DIV1;
        TIM_TimeBaseInit(TIM8,&TIM_TimeBaseStructure);//初始化定时器8
       
        //初始化TIM8 Channel1234 PWM模式         
        TIM_OCInitStructure.TIM_OCMode = TIM_OCMode_PWM1; //选择定时器模式:TIM脉冲宽度调制模式2
        TIM_OCInitStructure.TIM_OutputState = TIM_OutputState_Enable; //比较输出使能
        TIM_OCInitStructure.TIM_OCPolarity = TIM_OCPolarity_Low; //输出极性:TIM输出比较极性低

        TIM_OC2Init(TIM8, &TIM_OCInitStructure);  //根据T指定的参数初始化外设TIM8OC2

        TIM_OC2PreloadConfig(TIM8, TIM_OCPreload_Enable);  //使能TIM8在CCR2上的预装载寄存器

       
        TIM_ARRPreloadConfig(TIM8,ENABLE);//ARPE使能
       
        TIM_Cmd(TIM8, ENABLE);  //使能TIM8

}

int main(void)
{

        TIM8_PWM_Init(1000,84-1);//84M/84=1Mhz的计数频率,重装载值1000,所以PWM频率为 1M/1000=1Khz.
        TIM_SetCompare2(TIM8,100);//??从0-1000转速无变化

}
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。