SPWM波形生成

2019-07-20 23:00发布

今天弄了一下SPWM波形的生成,三相,相位差为120°。
首先生成一个正弦表,定时器的主频为72MHz,我的三角波载波频率是140625Hz,(我先设定的ARR的值为512,所以载波频率是这个奇怪的值),ARR的值为512,做一个正弦表,CCRx的值的中值是255,幅值也是255,也就是CCRx的值的范围是0~510。CCRx的更新频率就决定了最后正弦波的频率,但是一个值至少也得维持一个PWM周期,这样就限制了正弦波的频率有个最大值,可以自己计算一下。分享一下找到的一个计算软件和主要代码。
1.png
spwm_calc_v1.3.2.rar (167.66 KB, 下载次数: 2074) 2016-1-13 16:38 上传 点击文件名下载附件 Timer.rar (1.25 KB, 下载次数: 1822) 2016-1-13 16:38 上传 点击文件名下载附件
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
19条回答
liusukai
1楼-- · 2019-07-21 01:35
那个软件可以预览波形的,挺好的。置于每个周期的采样点数可以自己选择,选的太多了单片机来不及切换的,会限制正弦波的频率,所以如果想高频的话,FPGA应该还是最终选择吧?是问号哦。觉着我说的不对的请指教,勿喷!
augustedward
2楼-- · 2019-07-21 03:55
不错,下载下来看看!
openedvadmin
3楼-- · 2019-07-21 07:32
感谢楼主分享。
zhangdameng
4楼-- · 2019-07-21 12:28
 精彩回答 2  元偷偷看……
oxiaoqingniano
5楼-- · 2019-07-21 18:08
谢楼主分享  楼主好人
jorry
6楼-- · 2019-07-21 18:26
谢谢分享!!!万分感谢

一周热门 更多>