SPWM波形生成

2019-07-20 23:00发布

今天弄了一下SPWM波形的生成,三相,相位差为120°。
首先生成一个正弦表,定时器的主频为72MHz,我的三角波载波频率是140625Hz,(我先设定的ARR的值为512,所以载波频率是这个奇怪的值),ARR的值为512,做一个正弦表,CCRx的值的中值是255,幅值也是255,也就是CCRx的值的范围是0~510。CCRx的更新频率就决定了最后正弦波的频率,但是一个值至少也得维持一个PWM周期,这样就限制了正弦波的频率有个最大值,可以自己计算一下。分享一下找到的一个计算软件和主要代码。
1.png
spwm_calc_v1.3.2.rar (167.66 KB, 下载次数: 2074) 2016-1-13 16:38 上传 点击文件名下载附件 Timer.rar (1.25 KB, 下载次数: 1822) 2016-1-13 16:38 上传 点击文件名下载附件
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。