stm32上升沿检测电路

2019-07-21 06:34发布

想请问一下,我想做一个外部触发程序,收到外部的脉冲上升沿触发后,stm32就向串口发送一个消息,我采用PC.9做上升沿检测,通过定时器的上升沿捕捉来实现,现在的问题是,我是直接把外部的脉冲触发信号接到PC.9引脚上的,接进去以后,信号直接就变得特别小,原始的外部触发信号是一个脉冲TTL电平信号,但用示波器观察到只有几百毫伏,结果就检测不到上升沿,请问大家有什么解决办法吗?是需要改电路吗
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
正点原子
1楼-- · 2019-07-21 07:21
信号来自哪里?
岱墨韬然
2楼-- · 2019-07-21 13:12
正点原子 发表于 2018-12-20 02:17
信号来自哪里?

信号来自于外部的一个脉冲TTL电平  脉宽>20us
正点原子
3楼-- · 2019-07-21 14:52
岱墨韬然 发表于 2018-12-21 11:32
信号来自于外部的一个脉冲TTL电平  脉宽>20us

看下信号波形

一周热门 更多>