altera的FPGA怎么实现PWM波形输出

2019-03-25 07:11发布

没有提供IP核,看网上都是自己写的iP核,定时器IP核能不能实现,stm32的定时器可以实现PWM,不知道FPGA是怎么实现的,谢谢 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
osoon2008
1楼-- · 2019-03-25 12:42
< / 先不急上马, 多了解一下pwm原理, 频率就是时间, 时间可以累加.  如果1.8v电源, 不知道用mos管是否能进行电平转换.
eeleader
2楼-- · 2019-03-25 12:57
PWM还是比较复杂,先要了解原理,然后构思,再编程
Thomas520
3楼-- · 2019-03-25 13:41
 精彩回答 2  元偷偷看……

一周热门 更多>