verilog设计AM信号发生器

2019-03-25 07:27发布

急!!求大神指导如何用verilog设计一个AM信号发生器,软件为vivado,硬件为Xilinx开发板,要是有参考程序就更好了。要求如下:1、实现AM信号产生,可配置载波和调制信号频率、调制深度。具体技术指标如下:(1)   载波频率范围:100kHz-20MHz,精度优于5%。(2)   音频(调制信号)频率范围:10Hz-10kHz,精度优于5%。(3)   调制深度:0-1.0,步进0.05,精度优于5%。2、将AM调制器封装成IP核,测试其功能。
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
白丁
1楼-- · 2019-03-25 12:18
< / 你这可不是指导啊,你这是外包
里卡森奇
2楼-- · 2019-03-25 13:05
这是毕业设计吧
蓝色太阳
3楼-- · 2019-03-25 15:27
白丁 发表于 2017-5-4 19:37
你这可不是指导啊,你这是外包

新人不太懂,外包是什么,怎么做
蓝色太阳
4楼-- · 2019-03-25 18:27
 精彩回答 2  元偷偷看……

一周热门 更多>