功能仿真正确,下载后不对,为什么呀?

2019-03-25 07:50发布

我遇到过好几次这种状况啦,用modelsim功能仿真没问题,程序也不复杂,但是烧写到板子后,功能就不能实现啦!
这是什么愿意呢??
@00750 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
8条回答
pinggougou
1楼-- · 2019-03-26 01:26
00750 发表于 2015-5-13 21:37
如果拿示波器看出口处的波形正常,那就不是FPGA的问题了。 感觉你的描述前后有点矛盾呢?

就是单纯的串口收发,收到即转发,能够实现功能。

然后我加上ram和控制逻辑,先收十个数放进ram里,然后收满后,立即发出。譬如,我用串口调试助手先发给fpga十个十六进制“11”,然后fpga会立即反馈回来,应该是十个“11”,可是收到的数,却是前两个是“11”,后面八个就 乱起八糟啦%
00750
2楼-- · 2019-03-26 05:35
pinggougou 发表于 2015-5-13 22:59
就是单纯的串口收发,收到即转发,能够实现功能。

然后我加上ram和控制逻辑,先收十个数放进ram里,然后收满后,立即发出。譬如,我用串口调试助手先发给fpga十个十六进制“11”,然后fpga会立即反馈回来,应该是十个“11”,可是收到的数,却是前两个是“11”,后面八个就 乱起八糟啦%

你不是说用示波器看出口的码流是正确的吗?还是说用示波器看出口码流也是只有前两个是11?如果用示波器看到的码流与串口调试助手上看到的是一样的,那么就说明是你内部双口RAM处现上有问题了。

一周热门 更多>