学习时序约束 遇到的问题,求助

2019-03-25 07:53发布

     刚刚开始学习Altera FPGA的时序约束,照着特权同学的一个例子做的 但是出现了下面的问题
工程代码:
module        quest_test(
                                   clk,rst, led
                                );
                                                        
input                clk,rst;
output               led;
reg        [23:0]      cnt;
always@(posedge clk  or negedge rst)
begin
    if(!rst)
           cnt <= 24'h0;
         else
           cnt <= cnt + 24'h1;
end
assign led = cnt[23];
endmodule

只对时钟进行了约束 :create_clock -name {sys_clk} -period 10.000 -waveform { 0.000 5.000 } [get_ports {clk}]

时序报告图 如下   建立时间裕量 全部为负  relationship 全部为 1   请教是怎么回事呢
hold.png
setup.png
1.png

此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
1条回答
zhyj724
1楼-- · 2019-03-25 14:06
< / 老板好           你的这个问题解决了吗》?

一周热门 更多>