关于modelsim后仿真

2019-03-25 07:56发布

我再modelsim后仿真的时候出现论坛上同一个帖子的错误,请教高手帮忙啊? # Loading instances from F:/QIIpractice/count4_simulation/count4_v.sdo
# ** Error: (vsim-SDF-3250) count4_v.sdo(39): Failed to find INSTANCE 'clk~I '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(39): Failed to find INSTANCE 'asynch_inst'.
# ** Error: (vsim-SDF-3250) count4_v.sdo(48): Failed to find INSTANCE 'clk~clkctrl '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(57): Failed to find INSTANCE 'extena0_reg'.
# ** Error: (vsim-SDF-3250) count4_v.sdo(72): Failed to find INSTANCE 'out[0]~12 '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(248): Failed to find INSTANCE 'out[0]~I '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(248): Failed to find INSTANCE 'asynch_inst'.
# ** Error: (vsim-SDF-3250) count4_v.sdo(114): Failed to find INSTANCE 'out[0]~reg0 '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(130): Failed to find INSTANCE 'out[1]~4 '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(258): Failed to find INSTANCE 'out[1]~I '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(258): Failed to find INSTANCE 'asynch_inst'.
# ** Error: (vsim-SDF-3250) count4_v.sdo(145): Failed to find INSTANCE 'out[1]~reg0 '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(161): Failed to find INSTANCE 'out[2]~6 '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(268): Failed to find INSTANCE 'out[2]~I '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(268): Failed to find INSTANCE 'asynch_inst'.
# ** Error: (vsim-SDF-3250) count4_v.sdo(175): Failed to find INSTANCE 'out[2]~reg0 '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(191): Failed to find INSTANCE 'out[3]~8 '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(278): Failed to find INSTANCE 'out[3]~I '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(278): Failed to find INSTANCE 'asynch_inst'.
# ** Error: (vsim-SDF-3250) count4_v.sdo(205): Failed to find INSTANCE 'out[3]~reg0 '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(221): Failed to find INSTANCE 'out[4]~10 '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(288): Failed to find INSTANCE 'out[4]~I '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(288): Failed to find INSTANCE 'asynch_inst'.
# ** Error: (vsim-SDF-3250) count4_v.sdo(232): Failed to find INSTANCE 'out[4]~reg0 '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(81): Failed to find INSTANCE ' eset~I '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(81): Failed to find INSTANCE 'asynch_inst'.
# ** Error: (vsim-SDF-3250) count4_v.sdo(90): Failed to find INSTANCE ' eset~clkctrl '.
# ** Error: (vsim-SDF-3250) count4_v.sdo(99): Failed to find INSTANCE 'extena0_reg'.
# ** Error: (vsim-SDF-3894) : Errors occured in reading and resolving instances from compiled SDF file(s).
# ** Warning: (vsim-3015) F:/QIIpractice/count4_simulation/count4_test.v(6): [PCDPC] - Port size (5 or 5) does not match connection size (4) for port 'out'.
# Region: /count4_test/c
# Loading work.CYCLONEII_PRIM_DFFE
# ** Fatal: SDF files require Altera primitive library
# Time: 0 ps Iteration: 0 Instance: /count4_test File: F:/QIIpractice/count4_simulation/count4_test.v
# FATAL ERROR while loading design
# Error loading design 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
5条回答
阿飞
1楼-- · 2019-03-25 13:13
< / 我也出现了这样的问题,在EDN的论坛上也有不少人出现了这样的问题。不过具体是怎么会事到现在也没说清楚。好像一直就是SDF文件的问题(延时文件)。不过这样可以解决,我试过了。
chtycool
2楼-- · 2019-03-25 17:22
学习了、、
headforever
3楼-- · 2019-03-25 21:55
r如何进行DDR2仿真呢?
yanghua
4楼-- · 2019-03-26 02:14
阿飞 发表于 2011-9-23 12:26
我也出现了这样的问题,在EDN的论坛上也有不少人出现了这样的问题。不过具体是怎么会事到现在也没说清楚。 ...

去NMD,根本没用,还要钱!
HDLWorld
5楼-- · 2019-03-26 05:40
 精彩回答 2  元偷偷看……

一周热门 更多>