modelsim仿真无波形,求解答

2019-03-25 08:00发布

我仿照quartus的FIR例子写了一个工程,想仿真看看结果,但是怎么弄都没波形出来,连时钟都产生不了,不知道为何。想知道到底是代码的问题还是testbench的问题还是modelsim设置的问题。求大神帮我看一下。
先谢谢了,代码打包在附件里,testbench也在里面 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
许昊
1楼-- · 2019-03-25 13:37
< / 我也遇到了同样的问题,求大神指教
渣渣二号
2楼-- · 2019-03-25 16:34
 精彩回答 2  元偷偷看……

一周热门 更多>