FPGA旁边的SDRAM,这个SDRAM与FPGA怎么连接?如果要用SDRAM,是不是还需要写控制程序

2019-03-25 08:03发布

FPGA通常都有的SDRAM,这个SDRAM与FPGA怎么连接?是固定好的还是自己设计连接?如果要用SDRAM,是不是还需要写控制程序?还是连接好就可以用??困扰中,求解答! 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
8条回答
zmsxhy
1楼-- · 2019-03-25 11:24
< / 没玩过FPGA这种高级东西,所以不知道,帮楼主顶一下,让知道的大神们来回答吧!
仙猫
2楼-- · 2019-03-25 16:01
光连接上咋能用呢,当然得写代码,由代码控制接口的访问方式。
另外,FPGA未必都要带SDRAM,需要才挂上。
少121
3楼-- · 2019-03-25 17:51
zmsxhy 发表于 2014-6-20 16:36
没玩过FPGA这种高级东西,所以不知道,帮楼主顶一下,让知道的大神们来回答吧!

哈哈,谢谢了!
少121
4楼-- · 2019-03-25 23:10
 精彩回答 2  元偷偷看……
Li_Lei
5楼-- · 2019-03-26 00:34
既然已经做到板子上了连接是确定的了,硬件资源肯定是需要的,软件资源是不需要.
少121
6楼-- · 2019-03-26 05:13
huo_hu 发表于 2014-6-21 19:56
既然已经做到板子上了连接是确定的了,硬件资源肯定是需要的,软件资源是不需要.

问题是现在开始划板,就是要自己设定FPGA与SDRAM的连接方试,这个连接有没有什么讲究的?

一周热门 更多>