FPGA旁边的SDRAM,这个SDRAM与FPGA怎么连接?如果要用SDRAM,是不是还需要写控制程序

2019-03-25 08:03发布

FPGA通常都有的SDRAM,这个SDRAM与FPGA怎么连接?是固定好的还是自己设计连接?如果要用SDRAM,是不是还需要写控制程序?还是连接好就可以用??困扰中,求解答! 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
8条回答
chenzhufly
1楼-- · 2019-03-26 05:20
无特殊讲究
但是新手还是要注意管脚分配的
少121
2楼-- · 2019-03-26 07:18
chenzhufly 发表于 2014-6-24 09:52
无特殊讲究
但是新手还是要注意管脚分配的

嗯嗯,顺便问下,用JTAG,下载程序去EPCS4,直接转换下载文件格式就可以了吧,需不需要JTAG与epcs4连结??

一周热门 更多>