用Verilog实现滑动平均

2019-03-25 08:16发布

急求用Verilog语言实现的滑动平均的代码,还望大神不吝赐教!对于一组数据,看成一个队列,每采样得到一个新的数据就放入队列中,同时剔除队列中最开始进去的那个值,然后将这组数求平均输出!急! 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
白丁
1楼-- · 2019-03-25 10:26
< / 这个还不简单,跟求平均差不了哪里去
fsyicheng
2楼-- · 2019-03-25 16:19
用FPGA来做这个东西未必是一个很好的方案,你可以用FIFO,再建立一个寄存器REG A,然后每个时钟都把新进的数据加到A中,把FIFO中第一个数据读取,从A中减去,然后就是A做除法,A做除法时,最好是2的N次方,这样可以直接用取位而不要真正地去除。
osoon2008
3楼-- · 2019-03-25 20:19
是不是记错了 "同时剔除队列中最开始进去的那个值,", 是剔除掉其中的最大值和最小值.
白丁
4楼-- · 2019-03-25 23:22

一周热门 更多>