FPGA实现最高非零位的快速确定问题

2019-03-25 08:19发布

对于任意一个16位数据,如何快速确定它的最高非零位的位置。 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
7条回答
白丁
1楼-- · 2019-03-25 17:53
 精彩回答 2  元偷偷看……
crazy31415926
2楼-- · 2019-03-25 21:06
感谢2楼,这个行到是行,有没有更省时省事的做法,比如说比较一次就可以判断出来的。
白丁
3楼-- · 2019-03-26 02:38
这个方案还不够省事吗,本身就不是多复杂,干嘛要非常简化?
chenzhufly
4楼-- · 2019-03-26 03:39
精益求精
白丁
5楼-- · 2019-03-26 04:46
想到啥好的办法没?
chenzhufly
6楼-- · 2019-03-26 05:32
别忘了 FPGA是并行运算,可以按位取bit的哦

一周热门 更多>