FPGA实现最高非零位的快速确定问题

2019-03-25 08:19发布

对于任意一个16位数据,如何快速确定它的最高非零位的位置。 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
7条回答
chenzhufly
1楼-- · 2019-03-26 09:50
 精彩回答 2  元偷偷看……

一周热门 更多>