用verilog写两个数差的绝对值

2019-03-25 08:21发布

请教一下: 现在要用verilog写两个数差的绝对值,比如AD(i,j)=|pl(i,j)—pr(i,j)|,其中0<=i,j<=6,请问要怎么写啊?谢谢
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
5条回答
jokeboy999
1楼-- · 2019-03-25 12:23
< / 我以前用补码做过,但效果也不是很理想,后来用比较的方法来判断,
绝对值无非就是求差出来的结果不能为负,
所以,我判断两个数,
module( input clk,input a,input b,output c);
always@(posedge clk)
begin
if(a>=b)  c<= a-b;
else  c<= b-a;
end
仿真后发现,挺正常,希望可以帮到你
yuechenping
2楼-- · 2019-03-25 16:54
 精彩回答 2  元偷偷看……
eeleader
3楼-- · 2019-03-25 22:52

用补马做最好的

eeleader
4楼-- · 2019-03-26 02:53

例如;

eg    a<=c-b;

 

求a 绝对值

    如果A>0(可以判断a的符号位),就是A;

、否则A<0,则就是0-A!

草原狼10
5楼-- · 2019-03-26 03:34
学习啦!!!!支持

一周热门 更多>