有关ROM例化之后modelsim仿真出错

2019-03-25 08:22发布

出现了这个错误:Instantiation of 'altsyncram' failed. The design unit was not found.
求大侠们指教。谢谢啦! 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
deweyled
1楼-- · 2019-03-25 16:25
< / 没有编译ALtera的仿真库。
zhenpeng25
2楼-- · 2019-03-25 17:44
thank you!

一周热门 更多>