ise调用Modelsim无波形输且出死机。

2019-03-25 08:28发布

ise调用Modelsim无波形输且出死机。安装后成功编译了各种库,而且也实现了它们的关联,第一次调用MOdelsim仿真时,一切正常。关机后,第二天再次调用时,Modelsim直接卡死没反应,重启电后可以成功调用,再重启后老毛病又出现。调用成功与否都没errors,但ISE都有warnings如下:
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../std
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../ieee
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../vital2000
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../verilog
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../std_developerskit
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../synopsys
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../modelsim_lib
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../sv_std
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../avm
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../ovm-2.1.2
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../uvm-1.1b
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../upf_lib
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../pa_lib
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../floatfixlib
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/../mc2_lib
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/..
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/libsm.sl
WARNING: unable to resolve env var in modelsim.ini file entry: $::env(MODEL_TECH)/libhm.sl
   Modelsim的warnings如下:
* Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
#
#           File in use by: lenovo  Hostname: LENOVO-THINK  ProcessID: 676
#
#           Attempting to use alternate WLF file "./wlft99qj37".
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
#
#           Using alternate file: ./wlft99qj37 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
1条回答
open82977352
1楼-- · 2019-03-25 16:23
< / 修改或删除工程文件里modelsim.ini文件试试

一周热门 更多>