ISE VHDL 仿真的时候可以显示整数吗?

2019-03-25 08:35发布

在仿真页面显示整数。 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
eeleader
1楼-- · 2019-03-25 18:28
< / 这个没问题!
eeleader
2楼-- · 2019-03-25 22:24
没有整数类型,这个问题可以通过有符号却
显示或无符号显示来解决这个问题。

一周热门 更多>