quartus ii 11.0 调用modelsim 输出一直高阻

2019-03-25 08:58发布

module clkdiv(clk,rst,clkout);
input clk,rst;
output clkout;
reg clkout;
always@(posedge clk or negedge rst)
begin
if(!rst) clkout<=1'b0;
else clkout<=~clkout;
end
endmodule

testbench如下:
`timescale 1ns/1ps
module clkdiv_vlg_tst();
reg clk;
reg rst;                                             
wire clkout;
                       
clkdiv i1(
.clk(clk),
.clkout(clkout),
.rst(rst)
);
always begin                                                  
#10 clk = 0;
#10 clk = 1;
end                                                   
initial begin
rst = 0;
#20;
rst = 1;
#5000;
$stop;
end
                                                  
endmodule

输出一直高阻,咋回事? 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
1条回答
eeleader
1楼-- · 2019-03-25 17:35
< / 没有给CLKOUT赋初值。换句话说RST没有先给0,然后给1动作,导致CLKOUT的初值未定

一周热门 更多>