SOPC BUILDER定制了个8位256深度的FIFO,综合能通过,但仿真没成功,各位高手看看呗,给点意见
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;
entity control is
port(clk : in std_logic;
wrreq,rdreq : out std_logic;
usedw : in std_logic_vector(7 downto 0));end entity;
architecture bhv of control isbegin
process(clk)
begin
if clk'event and clk='1' then
if usedw<"01110101" then
rdreq<='0';
wrreq<='1';
else
wrreq<='0';
rdreq<='1';
end if;
end if;
end process;end architecture;
此帖出自
小平头技术问答
一周热门 更多>