DDS ip核仿真输出总是不定态

2019-03-25 09:11发布

我使用的是ISE 10.1,仿真用的是modelsim SE6.5 仿真的DDS ip核版本是Direct Digital Synthesizer5.0。输出总是不定态是怎么回事?求助。。。
我在ISE12.4里仿真DDS compiler4.0能出来结果,但现在要用一块sparten2的芯片,只能用ISE10.1。唉,愁啊~
我是新手,大家觉得有什么想法,可能是什么问题都可以说,帮帮忙~~~谢了

[ 本帖最后由 Arge_Chan 于 2011-8-4 19:17 编辑 ] 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
eeleader
1楼-- · 2019-03-25 15:26
< /

由于用的IP CORE, 所以对IP core 设计无法把握,建议仿真全面仔细。

首先不要想IP CORE可能出问题,毕竟大厂家给出的免费IP CORE 还是经过仔细全面的验证了,不会有问题,

否则砸了他们的牌子。

  建议你直接检查仿真的输入文件,是否满足IP CORE需要。

jinheng23
2楼-- · 2019-03-25 20:05
我10.1的和13.2的ISE中的DDS IP核都用过,根据我的经验,应该是当A="00000"(假设你只有一个通道)时,DATA没有成功写入。也就就是说频率控制字没写进去。建议你打开DDS IP核的PDF说明,严格按照它给的时序控制输入。应该可以的。
chexuan123
3楼-- · 2019-03-25 21:10

仿真时候,第一个时钟沿设置为下降沿

一周热门 更多>