关于ISE调用IP核的问题

2019-03-25 09:22发布

小弟最近才学FPGA,用的是ISE9.1,想用DDS生成SIN或者COS信号,调用程序如下,行为仿真没有结果也不报错,仿真框都不弹出来,不知道错在哪 求指导啊module dds( DATA, WE, A, CLK, SINE, COSINE ); // synthesis black_box 
input [27 : 0] DATA; input WE; input [4 : 0] A; input CLK; output [9 : 0] SINE; output [9 : 0] COSINE;
ddss dds1( .a(A), // Bus [4 : 0]     .clk(clk),    .we(we),    .data(data), // Bus [27 : 0]     .cosine(cosine), // Bus [5 : 0]     .sine(sine)); // Bus [5 : 0] 

endmodule  此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
eeleader
1楼-- · 2019-03-25 17:56
 精彩回答 2  元偷偷看……
timthorpe
2楼-- · 2019-03-25 19:25
你好 我最近也出了这个结果 还望指点
综合的结果是 点击语法检查和仿真都无结果,进行一下就自动停步了 并没有给出任何提示信息, 

  1. module test;

    // Inputs
    reg clk,start,fwd_inv_we,fwd_inv;
    reg [15:0] xn_re;
    reg [15:0] xn_im;

    // Outputs
    wire rfd;
    wire dv;
    wire done;
    wire busy;
    wire edone;
    wire [15:0] xk_re;
    wire [15:0] xk_im;
    wire [2:0] xk_index;
    wire [2:0] xn_index;

    // Instantiate the Unit Under Test (UUT)
    fft_test uut (
    .clk(clk),
    .fwd_inv_we(fwd_inv_we),
    .fwd_inv(fwd_inv),
    .start(start),
    .xn_re(xn_re),
    .xn_im(xn_im),
    .rfd(rfd),
    .dv(dv),
    .done(done),
    .busy(busy),
    .edone(edone),
    .xk_re(xk_re),
    .xk_im(xk_im),
    .xk_index(xk_index),
    .xn_index(xn_index)
    );

    initial begin
    // Initialize Inputs
    clk = 0;
    xn_re = 0;
    xn_im = 0;
    start =0;
    fwd_inv_we=0;
    fwd_inv=0;
    // Wait 100 ns for global reset to finish
    #100;
    start =1;
    fwd_inv_we=1;
    fwd_inv=1;
    // Add stimulus here

    end

    always #5 clk = ~clk;

    always @(posedge clk)
    begin

    xn_re= xn_re + 1;


    end
    endmodule
复制代码

一周热门 更多>