FPGA设计计数器输出跳变不同步

2019-03-25 09:24发布

用fpga设计了四位计数器,在仿真时,输出脚q0,q1,q2,q3不能同时跳变。导致从一个状态到下一个状态中间有一个暂态,如1011变1100的中间有1000的一个暂态。各种时钟频率都试过从10M到100M,都一样。请高手赐教。谢谢!!!!急!!!!!!!

[ 本帖最后由 happyxiaoyaozi 于 2011-12-31 09:44 编辑 ] 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
8条回答
eeleader
1楼-- · 2019-03-25 19:07
< /

这个应该不是问题哦,只要不影响测试即可!

eeleader
2楼-- · 2019-03-25 22:54
 精彩回答 2  元偷偷看……
能圈就圈
3楼-- · 2019-03-25 23:56
计数器是同步的还是异步的?
fuyaolong
4楼-- · 2019-03-26 03:55
q0~q3 是输出信号吧,这四个寄存器使用输出端口上的寄存器,别使用内部的寄存器,试试
silencex
5楼-- · 2019-03-26 04:43
从你的时序图来看,你使用的是时钟下降沿来触发的;
实际中的确会有亚稳态出现(在信号改变的瞬间),但是一般都很小,为了防止该情况的发生,你必须要在稳定的时候使用该信号,你可以在上升沿采集该信号
rrrigeipr
6楼-- · 2019-03-26 07:31
 通常的话都统一用上升沿作为触发信号吧 module count4(out,reset,clk);  output[3:0] out;  input reset,clk;  reg[3:0] out;  always @(posedge clk)  begin  if (reset)  out<=0;           //同步复位  else       out<=out+1;   //计数     end  endmodule 

一周热门 更多>