我写了一个ram 可是现在要把一个数组读进去,不会写testbench啊 哪位大侠帮帮我

2019-03-25 09:25发布

module ram(clk,data_out,data_in,addr,wr,rd,cs);
output[7:0]dat_aout;              //数据输出端
input[4:0]addr;                   //地址选择信号
input[7:0]data_in;                //数据写入端
input clk,wr,rd,cs;               //时钟信号,写信号、读信号、片选信号
reg[7:0]data1[31:0];              //定义存储空间
reg[7:0]data_out;
//写操作
always@(posedge clk)
begin
if(cs==0)
    begin if(wr)
            begin data1[addr]=data_in;end
           else
            begin data_out='bz;end
    end
end
//读操作
always@(posedge clk)
begin
if(cs==0)
     begin
        if(rd)
           begin data_out=data1[addr];end
          else
           begin data_out='bz;end
end
end
endmodule 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
mr.king
1楼-- · 2019-03-25 15:00
< / 因为处理的信号描述太麻烦,都是接实际信号来调试
eeleader
2楼-- · 2019-03-25 16:29

同意maosirhere 观点!

RAM调试都接实际信号,还有一个比较简单的办法就是用*。mif文件初始化。

如果写TESTBENCH太麻烦了,费事费时

一周热门 更多>