怎么样使用quartusII和modulsim联合仿真

2019-03-25 09:38发布

刚接触Verilog,感觉比较迷茫?!有专门讲怎么使用modulsim来仿真的教程没有,最近在使用quartusII写一些小模块,可是不太会用相关的仿真,还有就是感觉testbench不太好写,有没有什么经验啥的啊? 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
12条回答
奔跑的蜗牛
1楼-- · 2019-03-26 04:37
具体是那本,有名字吗?具体点,谢谢
eeleader
2楼-- · 2019-03-26 09:52

本论坛就有,请到站内搜索去找!

奔跑的蜗牛
3楼-- · 2019-03-26 14:37
好的,谢谢
奔跑的蜗牛
4楼-- · 2019-03-26 16:18
好的,谢谢
超自然
5楼-- · 2019-03-26 18:59
我也正好需要这方面的资料呢
linyin1989
6楼-- · 2019-03-27 00:34
 精彩回答 2  元偷偷看……

一周热门 更多>