求助 FPGA 功能仿真

2019-03-25 09:50发布

  module top( clk, button , led);
input clk,button;
output led;
reg led;
always @(posedge clk)   //当clk上升沿时触发
begin
led<=~button;
end
endmodule
用时序仿真 是可以仿真的 用的是QUARSII 自带的仿真波形那个 没用modelsim     可是点击功能仿真则出现错误:   Error: Run Generate Functional Simulation Netlist (quartus_map top --generate_functional_sim_netlist) to generate functional simulation netlist for top level entity "top" before running the Simulator (quartus_sim)   谢谢大虾啦 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
常见泽1
1楼-- · 2019-03-25 16:09
< / 结贴 已经解决
少了一个步骤 哈哈哈
eeleader
2楼-- · 2019-03-25 19:42

是不是没有编译就直接仿真了啊

常见泽1
3楼-- · 2019-03-25 20:00
不是  功能仿真 有一个 要ggenerate什么的 那个步骤 版主真热心
wsq19800917
4楼-- · 2019-03-26 01:32
没有生成仿真网络表
eeleader
5楼-- · 2019-03-26 07:30
 精彩回答 2  元偷偷看……
唐俊
6楼-- · 2019-03-26 13:10
功能仿真要生成一个功能网表,才能仿真。这是quartus2的功能仿真过程

一周热门 更多>