实在不懂

2019-03-25 10:09发布

这是一个关于ADC0809采样控制程序,由于才学vhdl所以看了半天没懂。特别是com:process(current_state,eoc) 此进程后面的语句。为什么要定义六个状态。哪位高手能帮帮我,谢谢。最好能详细讲解一下。谢谢谢谢额。。。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity PL_AD is
  port (   d        : in std_logic_vector(7 downto 0);
         clk,eoc    : in std_logic;  
lock1,start, ale,en: out std_logic;  
abc_in   :in std_logic_vector(2 downto 0);
abc_out     :std_logic_vector(2 downto 0);--ADC0809
        q          : out std_logic_vector(7 downto 0));
end pl_AD;
architecture behav of PL_AD is
type states is ( st0,st1, st2, st3, st4,st5,st6);
signal current_state, next_state:states:=st0;
signal regl :std_logic_vector(7 downto 0);
signal lock : std_logic;
signal qq:std_logic_vector(7 downto 0);
begin
com:process(current_state,eoc)
begin
  case current_state is
  when st0=>next_state<=st1;ale<='0';start<='0';en<='0';
  when st1=>next_state<=st2;ale<='1';start<='0';en<='0';
  when st2=>next_state<=st3;ale<='0';start<='1';en<='0';
  when st3=>                ale<='0';start<='0';en<='0';
       if eoc='1' then next_state<=st3;
       else next_state<=st4;
       end if;   
  when st4=>               ale<='0';start<='0';en<='0';
       if eoc='0' then next_state<=st4;
                 else next_state<=st5;
                 end if;
  when st5=>next_state<=st6;ale<='0';start<='0';en<='1';
  when st6=>next_state<=st0;ale<='0';start<='0';en<='1';regl<=d;
  when others=> next_state<=st0;ale<='0';start<='0';en<='0';
  end case;
end process;
clock:process(clk)  
begin
if clk'event and clk='1' then qq<=qq+1;
    if QQ="01111111" THEN lock<='1'; current_state <=next_state;   
    elsif qq<="01111111" then lock<='0';       
    end if;  
end if;
end process;
q<=regl; lock1<=lock; abc_out<=abc_in;
end behav; 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
16条回答
longhaozheng
1楼-- · 2019-03-26 12:20
我用Verilog HDL 但是VHDL一般也能看的懂,刚才看了ADC0809的中文资料,再看程序 看懂了,如果楼主没看建议去百度文库找个, 然后看VHDL程序 :clock进程是每过N个时钟(自己算)状态转换一次,com进程两个敏感信号为当前状态current_state (靠clock进程产生变化)eoc(AD转换结束标志);ST0 为初始状态,清零地址锁存、开始、使能三个信号,然后等CLOCK进程变换状态,N个时钟过后current_state =st1(自己想是为什么,不解释),ST1锁存地址,ST2,开始信号上升沿清零寄存器,ST3下降沿开始转换,ST3的 if里面是为了等待ADC0809清零完(因为不只一次转换,得为第二次以后考虑,这个不加会有问题),ST4等待AD转换结束(EOC=1),ST5 OE=1 告诉ADC0809我要读数据了,ST6 读数据,回到状态0, 这么清楚的回答有木有

[ 本帖最后由 longhaozheng 于 2011-7-21 11:12 编辑 ]
longhaozheng
2楼-- · 2019-03-26 14:19
ADC0809时序如下:/*ST 为转换启动信号。当ST 上跳沿时,所有内部寄存器清零;下跳沿时,开始进行A/D 转 换;在转换期间,ST 应保持低电平。EOC 为转换结束信号。当EOC 为高电平时,表明转 换结束;否则,表明正在进行A/D 转换。OE为输出允许信号,用于控制三条输出锁存器向 单片机输出转换得到的数据。OE=1,输出转换得到的数据;OE=0,输出数据线呈高阻状态。*/
longhaozheng
3楼-- · 2019-03-26 19:14
管理员来给我加分啊,这么辛苦
阿飞
4楼-- · 2019-03-26 19:19
谢谢哈。。。。我在自己想想。。。
阿飞
5楼-- · 2019-03-26 21:13
 精彩回答 2  元偷偷看……
zhangdaoyu
6楼-- · 2019-03-26 21:19

学过 但忘了 定下

一周热门 更多>