谁能翻译下这段VHDL程序什么意思 3Q

2019-03-25 10:14发布

Library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;     entity counter is                           实体计数器是       port(   clk      : in  std_logic;                enable     : in  std_logic;                 clr    : in  std_logic;              gd      : out std_logic;              q       : buffer  std_logic_vector(3 downto 0)); end counter;     architecture counter_arch of  counter is begin   进程标号)process(敏感信号表)(clk,enable,clr)   begin       if( clr='1')then           q<=(others=>'0');       一次性按位赋值,全部位为零       elsif(clk'event and clk='1')then          if( enable='1')then             if(q(3)='1'and q(0)='1')then                q<=(others=>'0');             else                q<=q+1;             end if;            end if;       end if;   end process;   gd<=q(3) and q(0) and enable;end counter_arch; 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
7条回答
eeleader
1楼-- · 2019-03-25 19:26
< /

这是个计数器啊。根据程序的意思,

clr 是复位信号;

enable 是使能信号;

每10个周期,输出一个脉冲!

十一月下雨
2楼-- · 2019-03-25 22:30
内部是一个十进制的计数器,而且是带有同步使能和异步清零信号的。但是不知道输出是在做什么啊
十一月下雨
3楼-- · 2019-03-26 02:33
 精彩回答 2  元偷偷看……
eeleader
4楼-- · 2019-03-26 04:03
兄弟,这不都是小儿科的问题啊
scfor
5楼-- · 2019-03-26 06:46
适合我这样的初学者学习
yhj_best
6楼-- · 2019-03-26 08:08
路过哈哈哈

一周热门 更多>