谁能翻译下这段VHDL程序什么意思 3Q

2019-03-25 10:14发布

Library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;     entity counter is                           实体计数器是       port(   clk      : in  std_logic;                enable     : in  std_logic;                 clr    : in  std_logic;              gd      : out std_logic;              q       : buffer  std_logic_vector(3 downto 0)); end counter;     architecture counter_arch of  counter is begin   进程标号)process(敏感信号表)(clk,enable,clr)   begin       if( clr='1')then           q<=(others=>'0');       一次性按位赋值,全部位为零       elsif(clk'event and clk='1')then          if( enable='1')then             if(q(3)='1'and q(0)='1')then                q<=(others=>'0');             else                q<=q+1;             end if;            end if;       end if;   end process;   gd<=q(3) and q(0) and enable;end counter_arch; 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
7条回答
gz475514589
1楼-- · 2019-03-26 11:55
现在看起来真的是小儿科啊

一周热门 更多>