MODELSIM仿真问题

2019-03-25 10:15发布

  如图,请教为什么最后的SPWM1信号会出现小刺?如何解决呢??谢谢。急。。。。 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
10条回答
jinheng23
1楼-- · 2019-03-25 12:57
 精彩回答 2  元偷偷看……
常见泽1
2楼-- · 2019-03-25 14:39
刚学FPGA  请问这是什么软件哈
jinheng23
3楼-- · 2019-03-25 19:26

 

jinheng23
4楼-- · 2019-03-26 00:59
用于仿真的软件  modelsim
eeleader
5楼-- · 2019-03-26 04:01

看不清楚图。时序仿真在状态变化经常出现一些毛刺!

jinheng23
6楼-- · 2019-03-26 06:04
点击可以放大的,最后一个信号的毛刺,麻烦帮忙分析下,如何解决呢?

一周热门 更多>