PLL输出差分时钟信号

2019-03-25 10:18发布

请问如何用PLL#_CLKOUTp和 PLL#_CLKOUTn输出差分时钟,我先前都是用一般IO通过PLL中调整相位至180输出的,不知道用这两个脚如何实现。期待>>>>>>>>>>>>>>
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
1条回答
lan54160
1楼-- · 2019-03-25 18:59
< / 为什么看不到回复呢,没有人弄过吗?altera fpga

一周热门 更多>