关于乘法器....求助

2019-03-25 10:20发布

刚入门没多久 很多太不会 老师布置的作业又得交
一个4*3的矩阵 一个4位数码管显示结果 两个数相乘的取值在0-99
准备是3个模块拼接
乘法器写一个assign tmp=a*b;这样一个简单的语句来完成 是否会有有问题?
现在的问题如思维导图一样 在矩阵扫描的时候第一个按键按下赋值,第二个按键这个里就有点迷糊不知道该怎么写..如何触发或者如何判断第二个键盘按下?或者没按下
QQ截图20110605111610.jpg
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
1条回答
eeleader
1楼-- · 2019-03-25 13:52
< /

说明一个问题。

assign tmp=a*b; 这个语句没有问题,但你把这个语句放在具体的芯片里面可能就有问题。

比如,你选用的器件不有乘法器,则你这个语句就是非法,错误的。

所以,设计FPGA或CPLD程序时,首先看的硬件资源,然后讨论你的设计是否合适。

一周热门 更多>