如何用VHDL处理一个脉冲信号

2019-03-25 10:21发布

如图,最上面有一个不规则的脉冲信号,现在想用两个信号之间的延迟来表现出 脉冲 小弟刚学VHDL , 接触的输入信号都是1位或多位的2进制 现在这样的脉冲信号如何处理,是否需要取样啥的,求指点,求给点思路,有类似的代码请发出来   此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
eeleader
1楼-- · 2019-03-25 15:42
< /

回复楼主

 请问你的意思:

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

不好意思! 我想问的是,你想用规则脉冲间的延迟来表达不规则脉冲的宽度是吗?是这个意思否?

 

 

 

 

 

 

 

 

 

 

 

 

 

leang521
2楼-- · 2019-03-25 20:18
好奇怪哦
yatjay
3楼-- · 2019-03-26 00:04
 精彩回答 2  元偷偷看……
eeleader
4楼-- · 2019-03-26 00:17

这个就很简单了。这个就是用系统时钟来度量脉冲宽度,换句话说就是测频率。

如果还有直白一点,就是用系统时钟测量脉冲的上升沿产生一个脉冲(脉宽一个系统时钟周期),测量脉冲的下降沿产生一个标准脉冲(脉宽一个系统时钟周期)。

 

不知到LZ明白了否?

yatjay
5楼-- · 2019-03-26 01:08
明白了 多谢斑竹

[ 本帖最后由 yatjay 于 2011-5-24 22:50 编辑 ]
eeleader
6楼-- · 2019-03-26 05:17
不客气,欢迎你常来!

一周热门 更多>