如何用VHDL设计一个延时器

2019-03-25 10:34发布

输入是一些随机产生的信号,要求所有的这些输入信号在延时100个时钟周期后循序输出。请问这个该如何设计?输入信号的顺序已经给定!!!!!!!!!!!     此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
GeorgePCB
1楼-- · 2019-03-25 12:48
< / FIFO
Jason-cl
2楼-- · 2019-03-25 14:43
这个不知道 哦,不过论坛上倒是有挺多有用的资料,可以找到看看
mr.king
3楼-- · 2019-03-25 18:50
 精彩回答 2  元偷偷看……
tx_xy
4楼-- · 2019-03-26 00:25
建议使用一个ram缓存一下,不建议使用fifo,fifo的控制逻辑耗费的资源相对比较多

一周热门 更多>