这个VHDL的信号赋初值语句怎么理解?

2019-03-25 10:37发布

signal abc: std_logic_vector(5 downto 0):=(others=>'0');
困扰很久,一直不明白。
哪位大侠能给详解一下?谢谢! 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
astwyg
1楼-- · 2019-03-25 13:17
< / 这个,,好像和赋值成000000一样吧.我感觉.;P
仙猫
2楼-- · 2019-03-25 18:27

 <= (others => '0');

 := (others => '0');

置全'0',类似的还有只全'1',置全高阻如下:

 <= (others => '1');

 := (others => '1');

 <= (others => 'Z');

 := (others => 'Z');

Sea_eeworld
3楼-- · 2019-03-25 21:02
就是将每位都赋‘0’
andyandy
4楼-- · 2019-03-25 23:00
谢谢!

一周热门 更多>