当有需要上升沿触发要怎么处理

2019-03-25 10:41发布

设全局时钟是clock(为20mhz),现在接受到输入信号in_pulse(这个信号大概持续高电平500ns),当检测到in_pulse的上升沿时,我延时1us开始产生波形,求救各位大侠们,我在全局时钟下该怎么检测这个输入信号呢,用什么语句,十万火急,谢谢各位。 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
1条回答
ming1005
1楼-- · 2019-03-25 15:30
< / 检测边沿可以用移位寄存器,如果是01说明是下降沿,如果是10说明是上升沿,自己分析下说的太明白就没有意思了

一周热门 更多>