microblaze和外部的逻辑模块如何交换数据?

2019-03-25 10:45发布

microblaze的GPIO可以和外部的逻辑模块交换数据么? 我在ise的顶层文件中将GPIO和外部逻辑模块相连的时候出现如下错误:ERROR:Xst:528 - Multi-source in Unit <top> on signal <S_gpio>; this signal is connected to multiple drivers. 如何解决? gpio可以用来和fpga内部的逻辑模块进行数据交换么?如果不行,那么通常用什么来进行microblaze和fpga内部的逻辑模块进行数据交换。   呵呵 请各位高手指点。 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
eeleader
1楼-- · 2019-03-25 18:22
< /

首先,microblaze肯定可以用GPIO来和FPGA交换数据,这个是肯定,勿需质疑!你的错误在与多个驱动源驱动GPIO 管脚。FPGA与GPIO交换数据就如其他芯片与FPGA交换数据,满足自定义的时序要求以及一定通信规则即可OK

qd0090
2楼-- · 2019-03-25 19:51
谢谢ls的回答。
我将edk中的gpio改成输入(以前是输入输出),程序通过综合和执行了。
但是又遇到了问题:
我在ise中调用了edk,里面还有一个逻辑模块,这两者之间没有联系。
edk的project是好用的,我验证过。
用ise下载后发现edk的那部分没有响应,为什么?
这中间还有什么操作步骤么?
后来我update bitsream with processor data
生成了一个top_down.bit(/原来的是top.bit)
下载这个,还是不行(干脆逻辑部分也不好用了)

请教楼上的,怎么解决?
或者有什么技术文档给推荐一下?
呵呵,多谢!

一周热门 更多>