xilinx rapidio ip核如何仿真

2020-01-27 12:32发布

最近在做两块FPGA进行通信工作,用rapidio去实现,在ise13.4中生成ip后,如何进行仿真,或者chipscope逻辑分析,请大家多多指教。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
19条回答
GoldSunMonkey
1楼-- · 2020-01-30 00:31
搞了快两周了,rapidIO的IP核中的example_design倒是综合编译通过了,也通过modelsim仿真成功。但是对于user里面的那些程序里的参数配置,传输方式等怎么实现怎么修改还没搞清楚,还得继续努力,多谢大家对我的帮助 ...
yangjia880313 发表于 2012-10-19 17:53
那就是协议的事情了,哥就帮助不了你了。
快说谢谢猴哥

一周热门 更多>