VHDL语言中字符串不定态位如何表示

2020-01-27 12:35发布

VHDL语言中字符串未定义位如何表示,书上说STD_LOGIC里X表示不定状态,但实际使用时,综合报错。
例如: case ABC is
        when "11X" =>
                             d<= '1';
                 .
                 .
                 .
请问各位,不定态位如何表示才能综合不报错,谢谢
0条回答

一周热门 更多>